Accellera
Dades | |
---|---|
Tipus | organització |
Camp de treball | estàndards |
Història | |
Creació | 2000 |
Lloc web | www.accellera.org |
Accellera Systems Initiative (Accellera) és una organització d'estàndards que admet una combinació d'estàndards d'usuari i proveïdor i desenvolupament d'interfícies obertes en l'àrea de l'automatització del disseny electrònic (EDA) i el disseny i la fabricació de circuits integrats (IC). Està menys restringit que l'Institut d'Enginyers Elèctrics i Electrònics (IEEE) i, per tant, és el punt de partida de molts estàndards. Un cop madurs i adoptats per la comunitat més àmplia, els estàndards solen ser transferits a l'IEEE.[1]
L'any 2000, Accellera es va fundar a partir de la fusió d'Open Verilog International (OVI) i VHDL International, els desenvolupadors de Verilog i VHDL respectivament. Tots dos es van formar originalment nou anys abans, el 1991.
El juny de 2009, es va anunciar una fusió entre Accellera i The SPIRIT Consortium, una altra organització d'estàndards important d'EDA centrada en el desplegament i la reutilització de la IP.[2] El Consorci SPIRIT va obtenir SystemRDL de la SystemRDL Alliance[3] i després va desenvolupar IP-XACT. La fusió es va completar l'abril de 2010.[4] SPIRIT significava "Structure for Packaging, Integrating and Re-using IP within Tool-flows".
Els següents estàndards EDA desenvolupats per Accellera van ser ratificats per IEEE el 2019:[5]
- Verilog o IEEE 1364 o IEC 61691-4
- VHDL o IEEE 1076 o IEC 61691-1-1
- Llenguatge d'especificació de propietat (PSL) o IEEE 1850 o IEC 62531
- SystemC o IEEE 1666
- Extensions de senyal analògic/mixt SystemC o IEEE 1666.1
- SystemVerilog o IEEE 1800
- Format de retard estàndard (SDF) o IEEE 1497 o IEC 61523-3
- Sistema de càlcul de retard i potència (DPCS / OLA; vegeu el format estàndard d'intercanvi d'efectes paràsits) o IEEE 1481
- Format de biblioteca avançat (ALF) o IEEE 1603 o IEC 62265
- Interfície de compressió oberta (OCI) o IEEE 1450.6.1
- Format d'alimentació unificada (UPF) o IEEE 1801
- Open Model Interface (OMI) o IEEE 1499
- IP-XACT o IEEE 1685
- Metodologia de verificació universal (UVM) o IEEE 1800.2
Les iniciatives EDA següents van ser desenvolupades per Accellera:
- Protocol de nucli obert (OCP)
- Idioma de verificació obert (OVL)
- Biblioteca de verificació oberta (OVL)
- Estàndard de prova i estímul portàtil (PSS)
- Interfície de modelatge de coemulació estàndard (SCE-MI)
- Etiquetat IP suau
- SystemRDL (Llenguatge de descripció del registre del sistema)
- Estàndard d'interoperabilitat de cobertura unificada (UCIS)
- Metodologia de verificació universal (UVM)
- Verilog-AMS (senyal mixt analògic)
Referències
[modifica]- ↑ Accelera website
- ↑ «EDA Standards Organizations Accellera and The SPIRIT Consortium Announce Plans to Merge». .
- ↑ «SystemRDL Alliance». .
- ↑ «Standards Organizations Accellera and The SPIRIT Consortium Complete Merger». , 14-04-2010. Arxivat 18 de maig 2015 a Wayback Machine.
- ↑ «EDA Standards Organizations Accellera and The SPIRIT Consortium Announce Plans to Merge». .